EDA大作業(yè)設(shè)計(jì)(模板5篇)

格式:DOC 上傳日期:2023-05-24 06:48:22
EDA大作業(yè)設(shè)計(jì)(模板5篇)
時(shí)間:2023-05-24 06:48:22     小編:cyyllee

在日常的學(xué)習(xí)、工作、生活中,肯定對(duì)各類范文都很熟悉吧。范文怎么寫才能發(fā)揮它最大的作用呢?以下是我為大家搜集的優(yōu)質(zhì)范文,僅供參考,一起來看看吧

EDA大作業(yè)設(shè)計(jì)篇一

交通燈設(shè)計(jì)學(xué) 院:電子信息學(xué)院

專 業(yè):電氣工程及其自動(dòng)化 班 級(jí):

學(xué) 號(hào): 姓 名: 1

目錄

前言------1

一、設(shè)計(jì)任務(wù)-----------

2二、題目分析與整體構(gòu)思--

2三、硬件電路設(shè)計(jì)-------3

四、程序設(shè)計(jì)-----------7

五、設(shè)計(jì)創(chuàng)新----------12

六、心得體會(huì)----------1

3七、參考文獻(xiàn)----------13

前 言

伴隨著社會(huì)的發(fā)展以及人類生活水平的提高,汽車的數(shù)量在d的dea技術(shù)的發(fā)展和應(yīng)用領(lǐng)域的擴(kuò)大與深入,eda技術(shù)在電子信息,通信,自動(dòng),控制及計(jì)算機(jī)應(yīng)用等領(lǐng)域的重要性日益突出。隨著技術(shù)市場(chǎng)與人才市場(chǎng)對(duì)dea的不斷的增加,交通的問題日益突出,單單依靠人力來指揮交通已經(jīng)不可行了,所以,設(shè)計(jì)交通燈來完成這個(gè)需求就顯的越加迫切了.為了確保十字路口的行人和車輛順利、暢通地通過,往往采用電子控制的交通信號(hào)來進(jìn)行指揮。以下就是運(yùn)用數(shù)字電子設(shè)計(jì)出的交通燈:其中紅燈亮,表示該條路禁止通行;黃燈亮表示停車;綠燈亮表示允許通行。一﹑設(shè)計(jì)任務(wù)

1.1設(shè)計(jì)要求: 設(shè)計(jì)一個(gè)十字路口的交通燈控制系統(tǒng),用實(shí)驗(yàn)平臺(tái)上的led發(fā)光二極管顯示車輛通過的方向(東西和南北各一組),用數(shù)碼管顯示該方向的剩余時(shí)間。要求:工作順序?yàn)闁|西方向紅燈亮45秒,前40秒南北方向綠燈亮,后5秒黃燈亮。然后南北方向紅燈亮45秒,前40秒東西方向綠燈亮,后5秒黃燈亮。依次重復(fù)。有緊急事件時(shí)允許將某方向一直開綠燈或者開紅燈,另外允許特定情況兩方向均為紅燈,車輛禁行,比如十字路口惡性交通事故時(shí),東西,南北兩個(gè)方向均有兩位數(shù)碼管適時(shí)顯示該方向亮燈時(shí)間。

二、題目分析與整體構(gòu)思

(1)該交通燈控制器應(yīng)具備的功能

設(shè)東西和南北方向的車流量大致相同,因此紅、黃、綠燈的時(shí)長(zhǎng)也相同,定為紅燈45sec,黃燈5sec,綠燈40sec,同時(shí)用數(shù)碼管指示當(dāng)前狀態(tài)(紅、黃、綠)剩余時(shí)間。另外,設(shè)計(jì)一個(gè)緊急狀態(tài),當(dāng)緊急狀態(tài)出現(xiàn)時(shí),兩個(gè)方向都禁止通行,指示紅燈。緊急狀態(tài)解除后,重新計(jì)數(shù)并指示時(shí)間。(2)實(shí)現(xiàn)方案

一 從題目中計(jì)數(shù)值與交通燈的亮滅的關(guān)系如圖(1)所示

三﹑硬件電路設(shè)計(jì)(1)分頻器

分頻器實(shí)現(xiàn)的是將高頻時(shí)鐘信號(hào)轉(zhuǎn)換成底頻的時(shí)鐘信號(hào),用于觸發(fā)控制器、計(jì)數(shù)器和掃描顯示電路。該分頻器實(shí)現(xiàn)的是一千分頻,將一千赫茲的時(shí)鐘信號(hào)分頻成一赫茲的時(shí)鐘信號(hào)。

(2)控制器設(shè)計(jì)

控制器的作用是根據(jù)計(jì)數(shù)器的計(jì)數(shù)值控制發(fā)光二極管的亮、滅,以及輸出倒計(jì)時(shí)數(shù)值給七段數(shù)碼管的分位譯碼電路。此外,當(dāng)檢測(cè)到特殊情況(hold=‘1’)發(fā)生時(shí),無條件點(diǎn)亮紅燈的二極管。本控制器可以有兩種設(shè)計(jì)方法,一種是利用時(shí)鐘煙的下降沿讀取前級(jí)計(jì)數(shù)器的計(jì)數(shù)值,然后作出反應(yīng);另一種則是將本模塊設(shè)計(jì)成純組合邏輯電路,不需要時(shí)鐘驅(qū)動(dòng)。這兩種方法各有所長(zhǎng),必須根據(jù)所用器件的特性進(jìn)行選擇:比如有些fpga有豐富的寄存器資源,而且可用與組合邏輯的資源則相對(duì)較少,那么使用第一種方法會(huì)比較節(jié)省資源;而有些cpld的組合邏輯資源則比較多,用第二種方法可能更好。

(3)計(jì)數(shù)器設(shè)計(jì)

這里需要的計(jì)數(shù)器的計(jì)數(shù)范圍為0-90。計(jì)到90后,下一個(gè)時(shí)鐘沿回復(fù)到0,開始下一輪計(jì)數(shù)。此外,當(dāng)檢測(cè)到特殊情況(hold=‘1’)發(fā)生是,計(jì)數(shù)器暫停計(jì)數(shù),而系統(tǒng)復(fù)位信號(hào)reset則使計(jì)數(shù)器異步清零。

(4)分位譯碼電路設(shè)計(jì)--1 因?yàn)榭刂破鬏敵龅牡接?jì)時(shí)數(shù)值可能是1位或者2位十進(jìn)制數(shù),所以在七段數(shù)碼管的譯碼電路前要加上分位電路(即將其分為2個(gè)1位的十進(jìn)制數(shù),如25分為2和5,7分為0和7)。

與控制器一樣,分位電路同樣可以由時(shí)鐘驅(qū)動(dòng),也可以設(shè)計(jì)成純組合邏輯電路。控制器中,引入了寄存器。為了讓讀者開拓眼界,分位電路就用組合邏輯電路實(shí)現(xiàn)。

(5)分位譯碼電路設(shè)計(jì)—2

(6)數(shù)碼管驅(qū)動(dòng)設(shè)計(jì)

串行連接,即每個(gè)數(shù)碼管對(duì)應(yīng)的引腳都接在一起(如每個(gè)數(shù)碼管的a引腳都接到一起,然后再接到cpld/fpga上的一個(gè)引腳上),通過控制公共端為高電平控制相應(yīng)數(shù)碼管的亮、滅(共陰極數(shù)碼管的公共端為高電平時(shí),led不亮;共陽(yáng)極的公共端為低電平時(shí),led不亮)。

串行法的優(yōu)點(diǎn)在于消耗的系統(tǒng)資源少,占用的i/o口少,n個(gè)數(shù)碼管只需要(7+n)個(gè)引腳(如果需要小數(shù)點(diǎn),則是(8+n)個(gè)引腳)。其缺點(diǎn)是控制起來不如并行法容易。

(7)下圖為交通燈控制器的頂層文件連接圖

四、程序設(shè)計(jì)

(1)分頻器的設(shè)計(jì)

library ieee;use ;

entity fredevider is port(clkin:in std_logic;clkout:out std_logic);end;

architecture devider of fredevider is constant n:integer:=499;signal counter:integer range 0 to n;signal clk:std_logic;begin process(clkin)begin if rising_edge(clkin)then

if counter=n then counter<=0;clk<=not clk;else counter<=counter+1;end if;end if;end process;clkout<=clk;end;

四、程序設(shè)計(jì)

(1)分頻器的設(shè)計(jì)

library ieee;use ;

entity fredevider is port(clkin:in std_logic;clkout:out std_logic);end;

architecture devider of fredevider is constant n:integer:=499;signal counter:integer range 0 to n;signal clk:std_logic;begin process(clkin)begin if rising_edge(clkin)then if counter=n then counter<=0;clk<=not clk;else counter<=counter+1;end if;end if;end process;clkout<=clk;end;(3)計(jì)數(shù)器的設(shè)計(jì)

這里計(jì)數(shù)器的計(jì)數(shù)范圍為0—45s。計(jì)到45后,下一個(gè)時(shí)鐘沿回復(fù)到0,開始下一輪計(jì)數(shù).此外,當(dāng)檢測(cè)到特殊情況(hold=‘1‘)發(fā)生時(shí),計(jì)數(shù)器暫停計(jì)數(shù),而系統(tǒng)復(fù)位號(hào)reset則使計(jì)數(shù)器異步清0。程序如下:

library ieee;use ;entity counter is port(clock:in std_logic;reset:in std_logic;hold:in std_logic;countnum:buffer integer range 0 to 90);end;architecture behavior of counter is begin process(reset,clock)begin if reset='1' then countnum<=0;elsif rising_edge(clock)then if hold='1' then countnum<=countnum;else if countnum=90 then countnum<=0;else countnum<=countnum+1;end if;end if;end if;end process;end;(4)分位譯碼電路設(shè)計(jì)--1 library ieee;use ;entity fenwei is port(numin:in integer range 0 to 45;numa,numb:out integer range 0 to 9);end;

architecture behavior of fenwei is begin process(numin)begin if numin>=40 then numa<=4;numb<=numin-40;elsif numin>=30 then numa<=3;numb<=numin-30;elsif numin>=20 then numa<=2;numb<=numin-20;elsif numin>=10 then numa<=1;numb<=numin-10;else numa<=0;numb<=numin;end if;end process;end;

(5)分位譯碼電路設(shè)計(jì)—2 use ;entity fenwei2 is port(numin:in integer range 0 to 45;numc,numd:out integer range 0 to 9);end;architecture behavior of fenwei2 is begin process(numin)begin if numin>=40 then numc<=4;numd<=numin-40;elsif numin>=30 then numc<=3;numd<=numin-30;

elsif numin>=20 then numc<=2;numd<=numin-20;elsif numin>=10 then numc<=1;numd<=numin-10;else numc<=0;numd<=numin;end if;end process;end;

(6)數(shù)碼管驅(qū)動(dòng)設(shè)計(jì) library ieee;use ;entity bcd_data is port(bcd_data:in std_logic_vector(3 downto 0);segout: out std_logic_vector(6 downto 0));end;architecture behavior of bcd_data is begin process(bcd_data)begin case bcd_data is when “0000”=>segout<=“1111110”;when “0001”=>segout<=“0110000”;when “0010”=>segout<=“1101101”;when “0011” =>segout<=“1111001”;when “0100” =>segout<=“0110011”;when “0101”=>segout<=“1011011”;when “0110”=>segout<=“0011111”;when “0111”=>segout<=“1110000”;when “1000” =>segout<=“1111111”;when “1001” =>segout<=“1110011”;when others =>null;end case;end process;end;

library ieee;use ;use ;entity dtsm is port(clk:in std_logic;numa,numb,numc,numd: in std_logic_vector(3 downto 0);segout1:out std_logic_vector(6 downto 0);led_sel: out std_logic_vector(3 downto 0));end dtsm;architecture bhv of dtsm is component bcd_data is port(bcd_data:in std_logic_vector(3 downto 0);segout:out std_logic_vector(6 downto 0));end component;signal x:std_logic_vector(3 downto 0);signal q:std_logic_vector(1 downto 0);begin p1:process(clk)begin if clk'event and clk ='1' then q<= q + '1';end if;end process;

p2:process(q)begin case q is when“00”=>led_sel<=“1110”;x<=numd;when“01”=>led_sel<=“1101”;x<=numc;when“10”=>led_sel<=“1011”;x<=numb;when“11”=>led_sel<=“0111”;x<=numa;when others=>null;end case;end process;u1:bcd_data port map(bcd_data=>x,segout=>segout1);end

五﹑設(shè)計(jì)創(chuàng)新

1﹑模塊化編程,模塊化接線,再編譯總原理圖,思路比較清楚解容易。2﹑可以比較容易的改變紅綠燈的時(shí)間。3﹑有的模塊可以供其它任務(wù)通用。

六﹑心得體會(huì)

eda設(shè)計(jì)我感覺程序調(diào)試最重要,試驗(yàn)軟件、硬件熟悉其次。我在編完各模塊程序之后,編譯查錯(cuò)最初有三十幾個(gè)錯(cuò)誤,有輸入錯(cuò)誤、語法錯(cuò)誤。一遍一遍的變異查錯(cuò),直到?jīng)]有錯(cuò)誤。必須注意工程名和實(shí)體名一致,不然一般會(huì)出錯(cuò)。在沒有錯(cuò)誤之后可以進(jìn)行波型仿真。若與理想的不同,再查看程序,有無原理上的編輯錯(cuò)誤或沒有查出的輸入錯(cuò)誤。都通過可以進(jìn)行管腳配對(duì),把程序燒入芯片,在實(shí)物機(jī)上看結(jié)果,從顯示中得出還需改正的地方,再去改程序。必須注意沒改一次都要編譯,重新燒入。七﹑參考文獻(xiàn)

(1)楊頌華 ;數(shù)字電子技術(shù)基礎(chǔ).西安:西安電子科技大學(xué)。

(2)黃任;2005;vhdl入門.解惑.經(jīng)典實(shí)例.經(jīng)驗(yàn)總結(jié).北京:北京航空航天大學(xué)出版社。

(3)徐志軍,/fpga的開發(fā)與應(yīng)用.北京:電子工業(yè)出版社。

(4)設(shè)計(jì)與應(yīng)用.西安:西安電子科技大學(xué)出版社。

EDA大作業(yè)設(shè)計(jì)篇二

現(xiàn)代eda技術(shù)及其發(fā)展

引言

隨著大規(guī)模集成電路技術(shù)和計(jì)算機(jī)技術(shù)的不斷發(fā)展,在涉及通信、國(guó)防、航天、醫(yī)學(xué)、工業(yè)自動(dòng)化、計(jì)算機(jī)應(yīng)用、儀器儀表等領(lǐng)域的電子系統(tǒng)設(shè)計(jì)工作中,eda技術(shù)的含量正以驚人的速度上升;電子類的高新技術(shù)項(xiàng)目的開發(fā)也逾益依賴于eda技術(shù)的應(yīng)用。即使是普通的電子產(chǎn)品的開發(fā),eda技術(shù)常常使一些原來的技術(shù)瓶頸得以輕松突破,從而使產(chǎn)品的開發(fā)周期大為縮短、性能價(jià)格比大幅提高。不言而喻,eda技術(shù)將迅速成為電子設(shè)計(jì)領(lǐng)域中的極其重要的組成部分。

eda技 術(shù)

即電子設(shè)計(jì)自動(dòng)(electronic designautomation)技術(shù),以大規(guī)??删幊踢壿嬈骷樵O(shè)計(jì)載體,以硬件描述語言為系統(tǒng)邏輯描述的主要表達(dá)方式,以計(jì)算機(jī)、大規(guī)??删幊踢壿嬈骷拈_發(fā)軟件及實(shí)驗(yàn)開發(fā)系統(tǒng)為設(shè)計(jì)工具,通過有關(guān)的開發(fā)軟件,自動(dòng)完成用軟件的方式設(shè)計(jì)電子系統(tǒng)到硬件系統(tǒng)的一門技術(shù)。eda技術(shù)是一種實(shí)現(xiàn)電子系統(tǒng)或電子產(chǎn)品自動(dòng)化設(shè)計(jì)的技術(shù),與電子技術(shù)、微電子技術(shù)的發(fā)展密切相關(guān)。同時(shí)它吸收了計(jì)算機(jī)科學(xué)領(lǐng)域的大多數(shù)最新研究成果,以計(jì)算機(jī)作為基本工作平臺(tái),利用計(jì)算機(jī)圖形學(xué)、拓?fù)溥壿媽W(xué)、計(jì)算數(shù)學(xué)以至人工智能學(xué)等多種計(jì)算機(jī)應(yīng)用學(xué)科的最新成果而開發(fā)出來的一整套電子cad通用軟件工具,是一種幫助電子設(shè)計(jì)工程師從事電子組件產(chǎn)品和系統(tǒng)設(shè)計(jì)的綜合技術(shù)。eda技術(shù)的出現(xiàn),為電子系統(tǒng)設(shè)計(jì)帶來了一場(chǎng)革命性的變化。沒有eda技術(shù)的支持,想要完成上述超大規(guī)模集成電路的設(shè)計(jì)制造是不可想象的。

eda技術(shù)的主要內(nèi)容

eda技術(shù)涉及面很廣,內(nèi)容豐富,從教學(xué)和實(shí)用的角度看,主要應(yīng)掌握如下四個(gè)方面的內(nèi)容:1)大規(guī)模可編程邏輯器件;2)硬件描述語言;3)軟件開發(fā)工具;4)實(shí)驗(yàn)開發(fā)系統(tǒng)。其中,大規(guī)??删幊踢壿嬈骷抢胑da技術(shù)進(jìn)行電子系統(tǒng)設(shè)計(jì)的載體,硬件描述語言是利用eda技術(shù)進(jìn)行電子系統(tǒng)設(shè)計(jì)的主要表達(dá)手段,軟件開發(fā)工具是利用eda技術(shù)進(jìn)行電子系統(tǒng)設(shè)計(jì)的智能化的自動(dòng)設(shè)計(jì)工具,實(shí)驗(yàn)開發(fā)系統(tǒng)則是利用eda

技術(shù)進(jìn)行電子系統(tǒng)設(shè)計(jì)的下載工具及硬件驗(yàn)證工具。大規(guī)??删幊踢壿嬈骷ld(programmable logic device,可編程邏輯器件)是一種由用戶編程以實(shí)現(xiàn)某種邏輯功能的新型邏輯器件。fpga和cpld分別是現(xiàn)場(chǎng)可編程門陣列和復(fù)雜可編程邏輯器件的簡(jiǎn)稱,兩者的功能基本相同,只是實(shí)現(xiàn)原理略有不同,所以我們有時(shí)可以忽略這兩者的區(qū)別,統(tǒng)稱為可編程邏輯器件或cpld/fpga。pld是電子設(shè)計(jì)領(lǐng)域中最具活力和發(fā)展前途的一項(xiàng)技術(shù),pld能完成任何數(shù)字器件的功能。pld如同一張白紙或是一堆積木,工程師可以通過傳統(tǒng)的原理圖輸入法,或是硬件描述語言自由的設(shè)計(jì)一個(gè)數(shù)字系統(tǒng),通過軟件仿真,我們可以事先驗(yàn)證設(shè)計(jì)的正確性。在pcb完成以后,還可以利用pld的在線修改能力,隨時(shí)修改設(shè)計(jì)而不必改動(dòng)硬件電路。使用pld來開發(fā)數(shù)字電路,可以大大縮短設(shè)計(jì)時(shí)間,減少pcb面積,提高系統(tǒng)的可靠性。pld的這些優(yōu)點(diǎn)使得pld技術(shù)在20世紀(jì)90年代以后得到飛速的發(fā)展,同時(shí)也大大推動(dòng)了eda軟件和硬件描述語言(hdl)的進(jìn)步。硬件描述語言(hdl)硬件描述語言(hdl)是相對(duì)于一般的計(jì)算機(jī)軟件語言如c、pascal而言的。hdl是用于設(shè)計(jì)硬件電子系統(tǒng)的計(jì)算機(jī)語言,它描述電子系統(tǒng)的邏輯功能、電路結(jié)構(gòu)和連接方式。hdl具有與具體硬件電路無關(guān)和與設(shè)計(jì)平臺(tái)無關(guān)的特性,并且具有良好的電路行為描述和系統(tǒng)描述的能力,并在語言易讀性和層次化結(jié)構(gòu)化設(shè)計(jì)方面,表現(xiàn)了強(qiáng)大的生命力和應(yīng)用潛力。用hdl進(jìn)行電子系統(tǒng)設(shè)計(jì)的一個(gè)很大的優(yōu)點(diǎn)是設(shè)計(jì)者可以專心致力于其功能的實(shí)現(xiàn),而不需要對(duì)不影響功能的與工藝有關(guān)的因素花費(fèi)過多的時(shí)間和精力。就fpga/cpld開發(fā)來說,比較常用和流行的hdl主要有vhdl、verilog hdl、abel、ahdl、systemverilog和systemc。其中vhdl、verilog在現(xiàn)在eda設(shè)計(jì)中使用最多,也擁有幾乎所有的主流eda工具的支持。而sys-temverilog和systemc這兩種hdl語言還處于完善過程中?,F(xiàn)在,vhdl和verilog作為ieee的工業(yè)標(biāo)準(zhǔn)硬件描述語言,又得到眾多eda公司的支持,在電子工程領(lǐng)域,已成為事實(shí)上的通用硬件描述語言。有專家認(rèn)為,在新的世紀(jì)中,vhdl與verilog hdl語言將承擔(dān)起大部分的數(shù)字系統(tǒng)設(shè)計(jì)任務(wù)。

軟件開發(fā)工具

這類軟件一般由pld/fpga芯片廠家提供,基本都可以完成所有的設(shè)計(jì)輸入(原理圖或hdl),仿真,綜合,布線,下載等工作。集成的pld/fpga開發(fā)環(huán)境供應(yīng)商開發(fā)環(huán)境簡(jiǎn)介alteramaxplusiialtera的maxplusii曾經(jīng)是最優(yōu)秀的pld開發(fā)平臺(tái)之一,適合開發(fā)早期的中小規(guī)

模pld/fpga使用者眾多。目前altera已經(jīng)停止開發(fā)maxplusii,而轉(zhuǎn)向quartusii軟件平臺(tái)quartusiialtera公司新一代pld開發(fā)軟件,適合大規(guī)模fpga的開發(fā)xilinxfoundationxilinx公司上一代的pld開發(fā)軟件,目前xilinx已經(jīng)停止開發(fā)foundation轉(zhuǎn)向ise軟件平臺(tái)ise xilinx公司目前的fpga/pld開發(fā)軟件

latticeispdesignexpertlattice公司的pld開發(fā)軟件,目前最新軟件改名為ispleverispleverlattice推出的最新一代pld集成開發(fā)軟件,取代ispexpert成為fpga和pld設(shè)計(jì)的主要工具。實(shí)驗(yàn)開發(fā)系統(tǒng)提供芯片下載電路及eda實(shí)驗(yàn)/開發(fā)的外圍資源(類似于用于單片機(jī)開發(fā)的仿真器),供硬件驗(yàn)證用。一般包括:1)實(shí)驗(yàn)或開發(fā)所需的各類基本信號(hào)發(fā)生模塊,包括時(shí)鐘、脈沖、高低電平等2)fpga/cpld輸出信息顯示模塊,包括數(shù)據(jù)顯示、發(fā)光管顯示、聲響指示等3)監(jiān)控程序模塊,提供“電路重構(gòu)軟配置4)目標(biāo)芯片適配座以及上面的fpga/cpld目標(biāo)芯片和編程下載電路。

eda技術(shù)的應(yīng)用展望

eda技術(shù)將廣泛應(yīng)用于高校電類專業(yè)的實(shí)踐教學(xué)和科研工作中與世界各知名高校相比,我國(guó)高等院校在eda及微電子方面的教學(xué)和科研工作有著明顯的差距,我們的學(xué)生現(xiàn)在做的課程實(shí)驗(yàn)普遍陳舊,動(dòng)手能力較差。從某種意義上來說,eda教學(xué)科研情況如何,代表著一個(gè)學(xué)校電類專業(yè)教學(xué)及科研水平的高低,而eda教學(xué)科研工作開展起來后,還會(huì)對(duì)微電子類、計(jì)算機(jī)類學(xué)科產(chǎn)生積極的影響,從而帶動(dòng)各高校相應(yīng)學(xué)科的同步發(fā)展。eda技術(shù)將廣泛應(yīng)用于專用集成電路和新產(chǎn)品的開發(fā)研制中由于可編程邏輯器件性能價(jià)格比的不斷提高,開發(fā)軟件功能的不斷完善,而且由于用eda技術(shù)設(shè)計(jì)電子系統(tǒng)具有用軟件的方式設(shè)計(jì)硬件;設(shè)計(jì)過程中可用有關(guān)軟件進(jìn)行各種仿真;系統(tǒng)可現(xiàn)場(chǎng)編程,在線升級(jí);整個(gè)系統(tǒng)可集成在一個(gè)芯片上等特點(diǎn),使其將廣泛應(yīng)用于專用集成電路和機(jī)械、電子、通信、航空航天、化工、礦產(chǎn)、生物、醫(yī)學(xué)、軍事等各個(gè)領(lǐng)域新產(chǎn)品的開發(fā)研制中。eda技術(shù)將廣泛應(yīng)用于傳統(tǒng)機(jī)電設(shè)備的升級(jí)換代和技術(shù)改造傳統(tǒng)機(jī)電設(shè)備的電器控制系統(tǒng),如果利用eda技術(shù)進(jìn)行重新設(shè)計(jì)或進(jìn)行技術(shù)改造,不但設(shè)計(jì)周期短、設(shè)計(jì)成本低,而且將提高產(chǎn)品或設(shè)備的性能,縮小產(chǎn)品體積,提高產(chǎn)品的技術(shù)含量,提高產(chǎn)品的附加值。eda技術(shù)將在國(guó)防現(xiàn)代化建設(shè)中發(fā)揮重要的作用eda技術(shù)是電子設(shè)計(jì)領(lǐng)域的一場(chǎng)革命,目前正處于高速發(fā)展階段,每年都有新的eda工具問世,我國(guó)eda技術(shù)的應(yīng)用水平長(zhǎng)期落后于發(fā)達(dá)國(guó)

家,如果說用于民品的核心集成電路芯片還可以從國(guó)外買的到的話,那么軍用集成電路就必須依靠自己的力量研制開發(fā),因?yàn)橛缅X是買不到國(guó)防現(xiàn)代化的,特別是中國(guó)作為一支穩(wěn)定世界的重要力量,更要走自主開發(fā)的道路。強(qiáng)大的現(xiàn)代國(guó)防必須建立在自主開發(fā)的基礎(chǔ)上,因此,廣大電子工程技術(shù)人員應(yīng)該盡早掌握這一先進(jìn)技術(shù),這不僅是提高設(shè)計(jì)效率和我國(guó)電子工業(yè)在世界市場(chǎng)上生存、竟?fàn)幣c發(fā)展的需要,更是建立強(qiáng)大現(xiàn)代國(guó)防的需要。

我國(guó)eda技術(shù)的出路

中國(guó)ic設(shè)計(jì)公司任重道遠(yuǎn)近年來我國(guó)的半導(dǎo)體市場(chǎng)發(fā)展突飛猛進(jìn),政府積極扶植eda產(chǎn)業(yè),加大招商引資力度,大力建設(shè)eda制造業(yè)基地,國(guó)務(wù)院頒布的軟件產(chǎn)業(yè)和集成電路產(chǎn)業(yè)發(fā)展的若干政策從政策上為eda的發(fā)展?fàn)I造了良好的外部環(huán)境,同時(shí)世界領(lǐng)先的一些供應(yīng)商也看好中國(guó)市場(chǎng)的潛在優(yōu)勢(shì),向中國(guó)出口先進(jìn)的設(shè)計(jì)工具,但具備了工具只是解決了設(shè)計(jì)手段,而中國(guó)的設(shè)計(jì)師在eda的總體應(yīng)用能力方面與世界發(fā)達(dá)國(guó)家相比還存在一定的差距,突出表現(xiàn)為專業(yè)人才緊缺,缺乏成熟化的整合性集成設(shè)計(jì)環(huán)境,供應(yīng)商技術(shù)服務(wù)支持不夠,中國(guó)eda技術(shù)的現(xiàn)在和未來都應(yīng)重視設(shè)計(jì)方法、工具和設(shè)計(jì)語言等方面的問題,從整體上看,中國(guó)市場(chǎng)對(duì)設(shè)計(jì)工具的需求已越來越與國(guó)際接軌,但是盡管中國(guó)eda設(shè)計(jì)領(lǐng)域中前端的設(shè)計(jì)相對(duì)成熟,但后端例如從網(wǎng)表到c++等的設(shè)計(jì)卻面臨著更大的挑戰(zhàn)。無論是eda的使用還是eda工具本身,我國(guó)與先進(jìn)國(guó)家相比都有很大差距。eda標(biāo)準(zhǔn)化工作在我國(guó)剛剛起步,我國(guó)有龐大的市場(chǎng)需求和快的增長(zhǎng)速度,同時(shí)還有后發(fā)優(yōu)勢(shì),這是我國(guó)eda發(fā)展的楔機(jī)。在eda標(biāo)準(zhǔn)化方面,目前主要應(yīng)采用國(guó)際和國(guó)外先進(jìn)標(biāo)準(zhǔn),一方面引進(jìn)和轉(zhuǎn)化適用的標(biāo)準(zhǔn),更重要的是加強(qiáng)轉(zhuǎn)化后標(biāo)準(zhǔn)的宣傳和推廣,通過標(biāo)準(zhǔn)化工作促進(jìn)我國(guó)eda及集成電路產(chǎn)業(yè)的發(fā)展。

我國(guó)如何應(yīng)對(duì)eda技術(shù)的挑戰(zhàn)

①充分發(fā)揮eda仿真技術(shù)在教學(xué)中的應(yīng)用,培養(yǎng)更多適應(yīng)新技術(shù)要求的人才。人才需求的變化,技術(shù)的發(fā)展之快更是需要教育工作者有著人才培養(yǎng)的超前意識(shí)。這一意識(shí)必須是科學(xué)的、嶄新的、快速的、甚至是跳躍的。特別是人才的培養(yǎng)需要有掌握新技術(shù)的專業(yè)教師,還要有新技術(shù)的設(shè)備才能滿足人才培養(yǎng)的要求。新技術(shù)、新設(shè)備的大量投入可能會(huì)影響到進(jìn)入人才市場(chǎng)最前沿的機(jī)遇。這就需要我們思維創(chuàng)新,教學(xué)手段創(chuàng)新。作者認(rèn)為學(xué)校實(shí)驗(yàn)教學(xué)就應(yīng)該以eda仿真技術(shù)

為突破口,引入計(jì)算機(jī)輔助教學(xué)手段,從而加快高素質(zhì)人才培養(yǎng)的速度,建立雄厚的eda技術(shù)人才基礎(chǔ)。②以半導(dǎo)體的研究創(chuàng)新促進(jìn)eda技術(shù)發(fā)展半導(dǎo)體工藝技術(shù)在過去5年中正以飛快的速度發(fā)展。硅的生產(chǎn)率每18個(gè)就會(huì)增加,而設(shè)計(jì)生產(chǎn)率仍舊嚴(yán)重滯后。自從半導(dǎo)體行業(yè)步入0.13μm時(shí)代以來,集成電路設(shè)計(jì)所面臨的挑戰(zhàn)已被多次提及,范圍包括了數(shù)字和模擬電路領(lǐng)域。相關(guān)的內(nèi)容包括:功率管理,功能驗(yàn)證,漏電流,對(duì)于超過1.5億個(gè)晶體管的復(fù)雜設(shè)計(jì)管理,還有0.13μm以下的混合信號(hào)和數(shù)字設(shè)計(jì)等等。過去那些令人生畏的巨大挑戰(zhàn)總會(huì)被解決,所以說沒有人會(huì)懷疑半導(dǎo)體技術(shù)會(huì)適時(shí)而及時(shí)地找出解決所面臨的挑戰(zhàn)方案,來?yè)魯trs的預(yù)言。但是,為了在設(shè)計(jì)效率和設(shè)計(jì)技術(shù)有效上取得長(zhǎng)足進(jìn)步和避免成本重復(fù),eda產(chǎn)業(yè)應(yīng)該支持相應(yīng)的一整套標(biāo)準(zhǔn),如設(shè)計(jì)工具的全球戰(zhàn)略、可制造性設(shè)計(jì)、統(tǒng)計(jì)設(shè)計(jì)方法、低功率設(shè)計(jì)和系統(tǒng)級(jí)確認(rèn)等。③開發(fā)實(shí)用性更強(qiáng)的eda軟件在eda軟件開發(fā)方面,目前主要集中在美國(guó)。但各國(guó)也正在努力開發(fā)相應(yīng)的工具。日本、韓國(guó)都有asic設(shè)計(jì)工具,但不對(duì)外開放。中國(guó)華大集成電路設(shè)計(jì)中心,也提供ic設(shè)計(jì)軟件,但性能不是很強(qiáng)。相信在不久的將來會(huì)有更多更好的功能強(qiáng)大、界面友好、使用方便的設(shè)計(jì)工具在各地開花并結(jié)果。④外設(shè)技術(shù)與eda工程相結(jié)合外設(shè)技術(shù)與eda工程相結(jié)合的市場(chǎng)前景看好,如組合超大屏幕的相關(guān)連接,多屏幕技術(shù)也有所發(fā)展。中國(guó)自1995年以來加速開發(fā)半導(dǎo)體產(chǎn)業(yè),先后建立了幾所設(shè)計(jì)中心,推動(dòng)系列設(shè)計(jì)活動(dòng)以應(yīng)對(duì)亞太地區(qū)其它eda市場(chǎng)的競(jìng)爭(zhēng)。在信息通信領(lǐng)域,要優(yōu)先發(fā)展高速寬帶信息網(wǎng)、深亞微米集成電路、新型元器件、計(jì)算機(jī)及軟件技術(shù)、第三代移動(dòng)通信技術(shù)、信息管理、信息安全技術(shù),積極開拓以數(shù)字技術(shù)、網(wǎng)絡(luò)技術(shù)為基礎(chǔ)的新一代信息產(chǎn)品,發(fā)展新興產(chǎn)業(yè),培育新的經(jīng)濟(jì)增長(zhǎng)點(diǎn)。要大力推進(jìn)制造業(yè)信息化,積極開展計(jì)算機(jī)輔助設(shè)計(jì)

(cad)、計(jì)算機(jī)輔助工程(cae)、計(jì)算機(jī)輔助工藝(capp)、計(jì)算機(jī)輔助制造(cam)、產(chǎn)品數(shù)據(jù)管理(pdm)、制造資源計(jì)劃(mrpii)及企業(yè)資源管理(erp)等。有條件的企業(yè)可開展“網(wǎng)絡(luò)制造”,便于合作設(shè)計(jì)、合作制造,參與國(guó)內(nèi)和國(guó)際競(jìng)爭(zhēng)。開展“數(shù)控化”工程和“數(shù)字化”工程。自動(dòng)化儀表的技術(shù)發(fā)展趨勢(shì)的測(cè)試技術(shù)、控制技術(shù)與計(jì)算機(jī)技術(shù)、通信技術(shù)進(jìn)一步融合,形成測(cè)量、控制、通信與計(jì)算機(jī)(m3c)結(jié)構(gòu)。在asic和pld設(shè)計(jì)方面,向超高速、高密度、低功耗、低電壓方面發(fā)展。

EDA大作業(yè)設(shè)計(jì)篇三

實(shí)驗(yàn)一:

quartus ii 軟件使用及組合電路設(shè)計(jì)仿真

實(shí)驗(yàn)?zāi)康模?/p>

學(xué)習(xí)quartus ii 軟件的使用,掌握軟件工程的建立,vhdl源文件的設(shè)計(jì)和波形仿真等基本內(nèi)容。

實(shí)驗(yàn)內(nèi)容:

1.四選一多路選擇器的設(shè)計(jì) 基本功能及原理 :

選擇器常用于信號(hào)的切換,四選一選擇器常用于信號(hào)的切換,四選一選擇器可以用于4路信號(hào)的切換。四選一選擇器有四個(gè)輸入端a,b,c,d,兩個(gè)信號(hào)選擇端s(0)和s(1)及一個(gè)信號(hào)輸出端y。當(dāng)s輸入不同的選擇信號(hào)時(shí),就可以使a,b,c,d中某一個(gè)相應(yīng)的輸入信號(hào)與輸出y端接通。

邏輯符號(hào)如下:

程序設(shè)計(jì):

軟件編譯:

在編輯器中輸入并保存了以上四選一選擇器的vhdl源程序后就可以對(duì)它進(jìn)行編譯了,編譯的最終目的是為了生成可以進(jìn)行仿真、定時(shí)分析及下載到可編程器件的相關(guān)文件。仿真分析:

仿真結(jié)果如下圖所示

分析:

由仿真圖可以得到以下結(jié)論:

當(dāng)s=0(00)時(shí)y=a;當(dāng)s=1(01)時(shí)y=b;當(dāng) s=2(10)時(shí)y=c;當(dāng)s=3(11)時(shí)y=d。符合我們最開始設(shè)想的功能設(shè)計(jì),這說明源程序正確。2.七段譯碼器程序設(shè)計(jì) 基本功能及原理:

七段譯碼器是用來顯示數(shù)字的,7段數(shù)碼是純組合電路,通常的小規(guī)模專用ic,如74或4000系列的器件只能作十進(jìn)制bcd碼譯碼,然而數(shù)字系統(tǒng)中的數(shù)據(jù)處理和運(yùn)算都是2進(jìn)制的,所以輸出表達(dá)都是16進(jìn)制的,為了滿足16進(jìn)制數(shù)的譯碼顯示,最方便的方法就是利用vhdl譯碼程序在fpga或cpld中實(shí)現(xiàn)。本項(xiàng)實(shí)驗(yàn)很容易實(shí)現(xiàn)這一目的。輸出信號(hào)的7位分別接到數(shù)碼管的7個(gè)段,本實(shí)驗(yàn)中用的數(shù)碼管為共陽(yáng)極的,接有低電平的段發(fā)亮。數(shù)碼管的圖形如下

七段譯碼器的邏輯符號(hào):

程序設(shè)計(jì):

軟件編譯:

在編輯器中輸入并保存了以上七段譯碼器的vhdl源程序后就可以對(duì)它進(jìn)行編譯了,編譯的最終目的是為了生成可以進(jìn)行仿真、定時(shí)分析及下載到可編程器件的相關(guān)文件

。仿真分析:

仿真結(jié)果如下圖所示:

分析: 由仿真的結(jié)果可以得到以下結(jié)論:

當(dāng)a=0(0000)時(shí)led7=1000000 此時(shí)數(shù)碼管顯示0; 當(dāng)a=1(0001)時(shí)led7=1111001 此時(shí)數(shù)碼管顯示1; 當(dāng)a=2(0010)時(shí)led7=0100100 此時(shí)數(shù)碼管顯示2; 當(dāng) a=3(0011)時(shí)led7=0110000 此時(shí)數(shù)碼管顯示3; 當(dāng) a=4(0100)時(shí)led7=0011001 此時(shí)數(shù)碼管顯示4; 當(dāng) a=5(0101)時(shí)led7=0010010 此時(shí)數(shù)碼管顯示5; 當(dāng) a=6(0110)時(shí)led7=0000010 此時(shí)數(shù)碼管顯示6; 當(dāng) a=7(0111)時(shí)led7=1111000 此時(shí)數(shù)碼管顯示7; 當(dāng) a=8(1000)時(shí)led7=0000000 此時(shí)數(shù)碼管顯示8; 當(dāng)a=9(1001)時(shí)led7=0010000 此時(shí)數(shù)碼管顯示9; 當(dāng)a=10(1010)時(shí)led7=0001000 此時(shí)數(shù)碼管顯示a; 當(dāng)a=11(1011)時(shí)led7=0000011 此時(shí)數(shù)碼管顯示b; 當(dāng) a=12(1100)時(shí)led7=1000110 此時(shí)數(shù)碼管顯示c; 當(dāng)a=13(1101)時(shí)led7=0100001 此時(shí)數(shù)碼管顯示d; 當(dāng)a=14(1110)時(shí)led7=0000110 此時(shí)數(shù)碼管顯示e; 當(dāng)a=15(1111)時(shí)led7=0001110 此時(shí)數(shù)碼管顯示f;

這完全符合我們最開始的功能設(shè)計(jì),所以可以說明源vhdl程序是正確的。

實(shí)驗(yàn)心得:

通過這次實(shí)驗(yàn),我基本掌握了quartus ii軟件的使用,也掌握了軟件工程的建立,vhdl源文件的設(shè)計(jì)和波形仿真等基本內(nèi)容。在實(shí)驗(yàn)中,我發(fā)現(xiàn)eda這門課十分有趣,從一個(gè)器件的功能設(shè)計(jì)到程序設(shè)計(jì),再到編譯成功,最后得到仿真的結(jié)果,這其中的每一步都需要認(rèn)真分析,一遍又一遍的編譯,修改。當(dāng)然,中間出現(xiàn)過錯(cuò)誤,但我依然不放棄,一點(diǎn)一點(diǎn)的修改,驗(yàn)證,最終終于出現(xiàn)了正確的仿真結(jié)果,雖然有一些毛刺,但是總的來說,不影響整體的結(jié)果。

實(shí)驗(yàn)二:計(jì)數(shù)器設(shè)計(jì)與顯示

實(shí)驗(yàn)?zāi)康模?/p>

(1)熟悉利用quartus ii中的原理圖輸入法設(shè)計(jì)組合電路,掌握層次化的設(shè)計(jì)方法;

(2)學(xué)習(xí)計(jì)數(shù)器設(shè)計(jì),多層次設(shè)計(jì)方法和總線數(shù)據(jù)輸入方式的

仿真,并進(jìn)行電路板下載演示驗(yàn)證。實(shí)驗(yàn)內(nèi)容:

1.完成計(jì)數(shù)器設(shè)計(jì)

基本功能及原理:

本實(shí)驗(yàn)要設(shè)計(jì)一個(gè)含有異步清零和計(jì)數(shù)使能的4位二進(jìn)制加減可控計(jì)數(shù)器,即有一個(gè)清零端和使能端,當(dāng)清零端為1時(shí)異步清零,即所有輸出值都為0,當(dāng)使能端為0時(shí),計(jì)數(shù)器停止工作,當(dāng)使能端為1時(shí),正常工作,由時(shí)鐘控制。另外,還應(yīng)該有一個(gè)控制端,當(dāng)控制端為0時(shí),進(jìn)行減法運(yùn)算,當(dāng)控制端為1時(shí),進(jìn)行加法運(yùn)算。輸出端有輸出值和進(jìn)位端,當(dāng)進(jìn)行加法運(yùn)算時(shí),輸出值遞增,當(dāng)減法運(yùn)算時(shí),輸出值遞減,同時(shí)進(jìn)位端進(jìn)行相應(yīng)的變化。

4位二進(jìn)制加減計(jì)數(shù)器的邏輯符號(hào):

程序設(shè)計(jì):

軟件編譯:

在編輯器中輸入并保存了以上4位二進(jìn)制加減計(jì)數(shù)器的vhdl源程序后就可以對(duì)它進(jìn)行編譯了,編譯的最終目的是為了生成可以進(jìn)行仿真、定時(shí)分析及下載到可編程器件的相關(guān)文件。仿真分析: 仿真結(jié)果如下:

分析:

由仿真圖可以得到以下結(jié)論:

當(dāng)enable端為0時(shí),所有數(shù)值都為0,當(dāng)enable端為1時(shí),計(jì)數(shù)器正常工作;當(dāng)reset端為1時(shí),異步清零,所有輸出數(shù)值為0,當(dāng)reset端為0時(shí),正常工作;當(dāng)updown端為0時(shí),進(jìn)行減法運(yùn)算,當(dāng)updown為1時(shí),進(jìn)行加法運(yùn)算;另外,當(dāng)程序進(jìn)行減法運(yùn)算時(shí),出現(xiàn)借位時(shí),co為1,其余為0,當(dāng)進(jìn)行加法運(yùn)算時(shí),出現(xiàn)進(jìn)位時(shí),co為1,其余為0。圖中所有的功能與我們?cè)O(shè)計(jì)的完全一樣,所以說明源程序正確。2.50m分頻器的設(shè)計(jì)

基本功能及原理:

50m分頻器的作用主要是控制后面的數(shù)碼管顯示的快慢。即一個(gè)模為50m的計(jì)數(shù)器,由時(shí)鐘控制,分頻器所有的端口基本和上述4位二進(jìn)制加減計(jì)數(shù)器的端口一樣,原理也基本相同。分頻器的進(jìn)位端(co)用來控制加減計(jì)數(shù)器的時(shí)鐘,將兩個(gè)器件連接起來。50m分頻器的邏輯符號(hào)如下:

程序設(shè)計(jì):

軟件編譯:

在編輯器中輸入并保存了以上50m分頻器的vhdl源程序后就可以對(duì)它進(jìn)行編譯了,編譯的最終目的是為了生成可以進(jìn)行仿真、定時(shí)分析及下載到可編程器件的相關(guān)文件。仿真分析: 結(jié)果如下:

上圖為仿真圖的一部分,由于整個(gè)圖太大,所以顯示一部分即可,其余部分如圖以上圖規(guī)律一直遞增,直到50m為止,然后再重復(fù),如此循環(huán)。

上圖是部分輸出的顯示,由于整個(gè)圖太大,所以只顯示部分,其余部分如圖遞增。

分析:

由仿真圖可以看出,當(dāng)reset為0,enable為1時(shí)(因?yàn)楸緦?shí)驗(yàn)中計(jì)數(shù)器的模值太大,為了盡可能多的觀察出圖形,可讓reset一直為0,enable一直為1,即一直正常工作),輸出值由0一直遞增到50m,構(gòu)成一個(gè)加法計(jì)數(shù)器,與我們?cè)O(shè)計(jì)的功能一致。3.七段譯碼器程序設(shè)計(jì)

基本功能及原理:

七段譯碼器是用來顯示數(shù)字的,7段數(shù)碼是純組合電路,通常的小規(guī)模專用ic,如74或4000系列的器件只能作十進(jìn)制bcd碼譯碼,然而數(shù)字系統(tǒng)中的數(shù)據(jù)處理和運(yùn)算都是2進(jìn)制的,所以輸出表達(dá)都是16進(jìn)制的,為了滿足16進(jìn)制數(shù)的譯碼顯示,最方便的方法就是利用vhdl譯碼程序在fpga或cpld中實(shí)現(xiàn)。本項(xiàng)實(shí)驗(yàn)很容易實(shí)現(xiàn)這一目的。輸出信號(hào)的7位分別接到數(shù)碼管的7個(gè)段,本實(shí)驗(yàn)中用的數(shù)碼管為共陽(yáng)極的,接有低電平的段發(fā)亮。

七段譯碼器的邏輯符號(hào):

程序設(shè)計(jì):

軟件編譯:

在編輯器中輸入并保存了以上七段譯碼器的vhdl源程序后就可以對(duì)它進(jìn)行編譯了,編譯的最終目的是為了生成可以進(jìn)行仿真、定時(shí)分析及下載到可編程器件的相關(guān)文件。仿真分析:

仿真結(jié)果如下圖所示:

分析:具體分析與實(shí)驗(yàn)一中七段譯碼器的分析相同,在此不再贅述。計(jì)數(shù)器和譯碼器連接電路的頂層文件原理圖:

原理圖連接好之后就可以進(jìn)行引腳的鎖定,然后將整個(gè)程序下載到已經(jīng)安裝好的電路板上,即可進(jìn)行仿真演示。

實(shí)驗(yàn)心得:

經(jīng)過本次試驗(yàn),我學(xué)到了很多。首先,我加強(qiáng)了對(duì)quartus ii軟件的掌握;其次,我掌握了電路圖的頂層文件原理圖的連接,學(xué)會(huì)了如何把自己設(shè)計(jì)的程序正確的轉(zhuǎn)化為器件,然后正確的連接起來,形成一個(gè)整體的功能器件;最后,我學(xué)會(huì)了如何安裝以及如何正確的把完整的程序下載到電路板上,并進(jìn)行演示驗(yàn)證。

實(shí)驗(yàn)三:大作業(yè)設(shè)計(jì)

(循環(huán)彩燈控制器)

實(shí)驗(yàn)?zāi)康模?/p>

綜合應(yīng)用數(shù)字電路的各種設(shè)計(jì)方法,完成一個(gè)較為復(fù)雜的電路設(shè)計(jì)。實(shí)驗(yàn)內(nèi)容:

流水燈(循環(huán)彩燈)的設(shè)計(jì) 設(shè)計(jì)任務(wù):

設(shè)計(jì)一個(gè)循環(huán)彩燈控制器,該控制器可控制10個(gè)發(fā)光二極管循環(huán)點(diǎn)亮,間隔點(diǎn)亮或者閃爍等花型。要求至少三種以上花型,并用按鍵控制花型之間的轉(zhuǎn)換,用數(shù)碼管顯示花型的序號(hào)?;驹恚?/p>

該控制器由兩部分組成,一部分是一個(gè)50m的分頻器,其主要用來控制花色變化的快慢;另一部分是一個(gè)彩燈控制器,該彩燈控制器可由兩個(gè)開關(guān)控制花型的序號(hào),10個(gè)輸出分別控制10個(gè)發(fā)光二極管的亮暗,當(dāng)輸出為1時(shí),該發(fā)光二極管亮,輸出為0時(shí),該二極管滅。將分頻器的co端用來控制彩燈控制器的時(shí)鐘,將兩個(gè)器件連接起來。1.分頻器的設(shè)計(jì)

50m分頻器與實(shí)驗(yàn)二中的分頻器一樣,這里不再贅述。2.彩燈控制器的設(shè)計(jì) 基本原理:

該彩燈控制器由時(shí)鐘控制,reset異步清零,enable當(dāng)做使能端,由兩個(gè)開關(guān)do(0-1)來控制選擇不同的花型,10個(gè)輸出端lig(0-9)來控制10個(gè)led燈的亮滅。因?yàn)橛昧藘蓚€(gè)開關(guān)來控制花型,所以一共有4種花色。

彩燈控制器的邏輯符號(hào):

程序設(shè)計(jì):

3.七段譯碼器的設(shè)計(jì)

七段譯碼器是用來顯示不同花型的序號(hào)的,其設(shè)計(jì)與實(shí)驗(yàn)一中的設(shè)計(jì)一樣,這里不再贅述。循環(huán)彩燈控制器的原理圖:

仿真波形如下: 第一種花型:

第二種花型:

第三種花型:

第四種花型:

仿真分析:

將以上仿真波形圖和源程序?qū)Ρ?,我們可以看到,仿真出來的波形和我們?cè)O(shè)計(jì)的功能一致,這說明源vhdl程序是正確的。實(shí)驗(yàn)心得:

本次試驗(yàn)是在沒有老師指導(dǎo)的情況下自己完成的,我在參考了網(wǎng)上的程序的情況下,最終成功的設(shè)計(jì)并正確的演示出了循環(huán)彩燈的不同花型。通過本次試驗(yàn),我真正的體會(huì)到了dea這門課的樂趣,也發(fā)現(xiàn)它對(duì)我們的學(xué)習(xí)和生活帶來很大的方便。

EDA大作業(yè)設(shè)計(jì)篇四

貴州大學(xué)科技學(xué)院2010級(jí) 電科班

王斌

guizhou university eda實(shí)驗(yàn)報(bào)

實(shí)驗(yàn)課程名稱 eda技術(shù)與實(shí)驗(yàn) 實(shí)驗(yàn)項(xiàng)目名稱 單管低頻放大器 學(xué) 院 科技學(xué)院 專 業(yè) 班 級(jí) 2010級(jí)電子信息科學(xué)與技術(shù) 學(xué) 生 姓 名 王斌 學(xué) 號(hào) 1020040464 任 課 教 師 李良榮

貴州大學(xué)科技學(xué)院2010級(jí) 電科班

王斌

單管低頻放大器

1、實(shí)驗(yàn)?zāi)康?/p>

(1)學(xué)習(xí)元器件的放置和手動(dòng)、自動(dòng)連線方法;(2)熟悉元器件標(biāo)號(hào)及虛擬元件值的修改方法;(3)熟悉節(jié)點(diǎn)及標(biāo)注文字的放置方法;(4)熟悉電位器的調(diào)整方法;(5)熟悉信號(hào)源的設(shè)置方法;(6)熟悉示波器的使用方法;

(7)熟悉放大器的主要性能指標(biāo)的測(cè)試方法;

(8)熟悉示波器、信號(hào)源、萬用表、電壓表、電流表的應(yīng)用方法;(9)學(xué)習(xí)實(shí)驗(yàn)報(bào)告的書寫方法。

2、實(shí)驗(yàn)內(nèi)容 a:測(cè)試電路如圖

圖1——0(1)測(cè)量ic 常規(guī)方法測(cè)量ve。用ie=ve/re ≈ ic計(jì)算集電極電流。如圖1——1所示。測(cè)試的ve=1.589v

ie?ic?ib?i c

?

ic?則ie=ve/re ≈ ic=1.589ma

vere?1.5891000=1.589v 2

貴州大學(xué)科技學(xué)院2010級(jí) 電科班

王斌

檢測(cè)是否正確:如圖1——2

圖1——2 測(cè)試正確!

結(jié)論:測(cè)試看出,兩種方法的結(jié)果是有些誤差的,原因在于re的值是有誤差的,調(diào)入器件時(shí)計(jì)算機(jī)在器件的誤差范圍內(nèi)任意取值作計(jì)算依據(jù),在實(shí)際電路中也往往如此,用萬用表測(cè)量晶體管發(fā)射極電阻上的電壓來測(cè)量電路的ic是實(shí)際電路的設(shè)計(jì)時(shí)的一般方法。

(2)信號(hào)發(fā)生器設(shè)置正旋波,f=1khz,v=10mv;

如圖1——3

貴州大學(xué)科技學(xué)院2010級(jí) 電科班

王斌

圖1——3 仿真,調(diào)整示波器觀察后得到結(jié)果。

a通道(x1,y1)=(221.048ms ,-1.146v)、b通道為(222.085ms , 9.995mv)。利用兩條時(shí)間差可以分析信號(hào)的周期、頻率等參數(shù)(圖中t2-t1=1.036ms為周期)。信號(hào)類型為“dc”方式,波形包含直流成分,“0”禁止輸入,“ac”方式不包含直流成分。

結(jié)論:保持信號(hào)源不變,調(diào)整r5為5000(接入電阻的阻值為50?5000=25k?,如果滑動(dòng)變阻器增大,調(diào)到7400左右),信號(hào)輸出幅度最大,失真較小,則r5的取值在56k?左右

貴州大學(xué)科技學(xué)院2010級(jí) 電科班

王斌

最佳。

(3)調(diào)整r5,在示波器上觀察波形,是波形輸出幅度最大,且不失真;

a:減小電阻會(huì)使電路失真,且截止失真;

b:真大電阻會(huì)是電路的放大倍數(shù)增大;

(4)測(cè)量單管放大器的輸入輸出電阻ri、r0;

貴州大學(xué)科技學(xué)院2010級(jí) 電科班

王斌

輸入電阻:

ri?virvs?vi?viii=

7.0713.693?10?3?1.914k?

輸出電阻:用“替代法”計(jì)算r0,閉合開關(guān),得到vl的值為4.614mv,斷開開關(guān),得到v0的值為4.722mv。

貴州大學(xué)科技學(xué)院2010級(jí) 電科班

王斌

r0?(v0vl?1)?3?10?3?4.722?3???1??3?10?7.022k? ?4.614?

(5)用“失真度測(cè)量?jī)x”測(cè)量電路的失真度;

在輸入信號(hào)3mv,f=1khz時(shí),測(cè)得失真度:2.767%。在輸入信號(hào)10mv,f=1khz時(shí),測(cè)得失真度:9.193%。如圖1——4。

結(jié)論:電路的失真度為9.193% 7

貴州大學(xué)科技學(xué)院2010級(jí) 電科班

王斌

圖1——4(6)用“波特圖示儀”測(cè)試電路的幅頻特性曲線。

根據(jù)帶寬的測(cè)量原理,移動(dòng)測(cè)試指針,使幅度值下降3db,找到半功率點(diǎn)=26.459mhz。如圖1——5

=23.698hz,圖1——5 測(cè)得fw?fh?fl?26.459mhz。

貴州大學(xué)科技學(xué)院2010級(jí) 電科班

王斌

心得:通過這次實(shí)驗(yàn)讓我對(duì)multisim 更進(jìn)一步的了解和學(xué)習(xí),也對(duì)很多電路的分析應(yīng)用加深了了解。!

EDA大作業(yè)設(shè)計(jì)篇五

班 級(jí) 021291 學(xué) 號(hào) 02129057

eda實(shí)驗(yàn)報(bào)告

學(xué) 院 電子工程學(xué)院

專 業(yè) 電子信息工程

學(xué)生姓名

02129057

導(dǎo)師姓名

糾博

交通控制器

一. 設(shè)計(jì)目標(biāo)

設(shè)計(jì)一個(gè)十字路口交通控制系統(tǒng),其東西,南北兩個(gè)方向除了有紅、黃、綠燈指示是否允許通行外,還設(shè)有時(shí)鐘,以倒計(jì)時(shí)方式顯示每一路允許通行的時(shí)間,綠燈,黃燈,紅燈的持續(xù)時(shí)間分別是70、5和75秒。當(dāng)東西或南北兩路中任一道上出現(xiàn)特殊情況,例如有消防車,警車要去執(zhí)行任務(wù),此時(shí)交通控制系統(tǒng)應(yīng)可由交警手動(dòng)控制立即進(jìn)入特殊運(yùn)行狀態(tài),即兩條道上的所有車輛皆停止通行,紅燈全亮,時(shí)鐘停止計(jì)時(shí),且其數(shù)字在閃爍。當(dāng)特殊運(yùn)行狀態(tài)結(jié)束后,管理系統(tǒng)恢復(fù)原來的狀態(tài),繼續(xù)正常運(yùn)行。

二. 設(shè)計(jì)思路與實(shí)施方案

1.設(shè)計(jì)目標(biāo)思路整理

在十字路口的兩個(gè)方向上各設(shè)一組紅、綠、黃燈,顯示順序?yàn)槠渲幸环较颍|西方向)是綠燈、黃燈、紅燈;另一方向(南北方向)是紅燈、綠燈、黃燈。

設(shè)置一組數(shù)碼管,以倒計(jì)時(shí)的方式顯示允許通行或禁止通行的時(shí)間,其中綠燈、黃燈、紅燈的持續(xù)時(shí)間分別是70s、5s和75s。

當(dāng)各條路上任意一條上出現(xiàn)特殊情況時(shí),如當(dāng)消防車、救護(hù)車或其他需要優(yōu)先放行的車輛通過時(shí),各方向上均是紅燈亮,倒計(jì)時(shí)停止,且顯示數(shù)字在閃爍。當(dāng)特殊運(yùn)行狀態(tài)結(jié)束后,控制器恢復(fù)原來狀態(tài),繼續(xù)正常運(yùn)行。

2.原理分析

本系統(tǒng)主要由分頻器,計(jì)數(shù)器,控制器,倒計(jì)時(shí)顯示器等電路組成。分頻器將晶振送來的50mhz信號(hào)變?yōu)?hz時(shí)鐘信號(hào);計(jì)數(shù)器實(shí)現(xiàn)總共150秒的計(jì)數(shù),它也是交通控制系統(tǒng)的一個(gè)大循環(huán);控制器控制系統(tǒng)的狀態(tài)轉(zhuǎn)移和紅黃綠燈的信號(hào)輸出;倒計(jì)時(shí)顯示電路實(shí)現(xiàn)75秒,70秒及5秒的倒計(jì)時(shí)和顯示功能。整個(gè)系統(tǒng)的工作時(shí)序受控制器控制,是系統(tǒng)的核心?;诖?,做出交通控制系統(tǒng)的轉(zhuǎn)移圖如下:

其中,s0:a方向綠燈亮,b方向紅燈亮,此狀態(tài)持續(xù)70秒;

s1:a方向黃燈亮,b方向紅燈亮,此狀態(tài)持續(xù)5秒;

s2:a方向紅燈亮,b方向綠燈亮,此狀態(tài)持續(xù)70秒;

s3:a方向紅燈亮,b方向黃燈亮,此狀態(tài)持續(xù)5秒;

s4:緊急制動(dòng)狀態(tài),a方向紅燈亮,b方向紅燈亮,當(dāng)hold=‘0‘時(shí)進(jìn)入這種狀態(tài)。

當(dāng)緊急制動(dòng)信號(hào)無效時(shí),狀態(tài)按照s0—s1—s2—s3—s0循環(huán);當(dāng)緊急制動(dòng)信號(hào)有效時(shí),立即進(jìn)入s4,兩個(gè)方向紅燈全亮,計(jì)數(shù)器停止計(jì)數(shù)。

三. 設(shè)計(jì)過程

1.電路設(shè)計(jì)

交通控制系統(tǒng)頂層原理圖如下圖示,它主要由50mhz分頻器模塊,控制器,倒計(jì)時(shí)計(jì)數(shù)器模塊,7段數(shù)碼管組成。

(1)分頻器的設(shè)計(jì)

分頻器外部接口如右圖所示:

library ieee;use ;use ;

entity fp50m is port(clk : in std_logic;

reset : in std_logic;

clk_out: out std_logic);end entity fp50m;

architecture behavior of fp50m is signal count : std_logic_vector(31 downto 0);signal q:std_logic;begin process(reset,clk)

begin if(reset = '0')then

count <=(others=>'0');--復(fù)位計(jì)數(shù)器

elsif clk'event and clk='1' then

count<=count+1;

if(count = 25000000)then

q<= not q;--反置輸出

count <=(others=>'0');

end if;

end if;

clk_out<=q;end process;end architecture behavior;由于50mhz過大,在這里就不展示分頻時(shí)序圖。(2)控制器的設(shè)計(jì)

控制器control的邏輯符號(hào)如下圖所示。其中,clk為時(shí)鐘輸入信號(hào);hld為緊急制動(dòng)信號(hào);ared,agreen,ayellow為東西方向驅(qū)動(dòng)紅燈,綠燈及黃燈指示的輸出信號(hào);bred,bgreen,byellow分別為南北方向驅(qū)動(dòng)紅燈。綠燈及黃燈指示的輸出信號(hào)。控制器按照上邊的狀態(tài)轉(zhuǎn)移圖所示控制系統(tǒng)的時(shí)序,即為個(gè)方向紅,綠,黃燈的亮滅時(shí)間。: library ieee;use ;use ;

entity control is

port(clk,hold:in std_logic;

ared,agreen,ayellow,bred,bgreen,byellow:out std_logic);end control;

architecture behavior of control is

type state_type is(s0,s1,s2,s3,s4);

signal current_state,next_state:state_type;

signal counter:std_logic_vector(6 downto 0);

begin synch:process begin

wait until clk'event and clk='1';

if hold='0' then

--當(dāng)緊急制動(dòng)信號(hào)有效時(shí),計(jì)數(shù)器停止工作

counter<=counter;

else

--當(dāng)緊急制動(dòng)信號(hào)無效時(shí),計(jì)數(shù)器進(jìn)行周期為150s的計(jì)數(shù)

if counter<149 then

counter<=counter+1;

else

counter<=(others=>'0');

end if;

end if;end process;

process

--狀態(tài)機(jī)的狀態(tài)轉(zhuǎn)移描述 begin

wait until clk'event and clk='1';

current_state<=next_state;end process;

state_trans:process(current_state)begin

case current_state is when s0=>

if hold='0' then

next_state<=s4;

else

if counter<69 then

next_state<=s0;

else

next_state<=s1;

end if;

end if;when s1=>

if hold='0' then

next_state<=s4;

else

if counter<74 then

next_state<=s1;

else

next_state<=s2;

end if;

end if;when s2=>

if hold='0' then

next_state<=s4;

else

if counter<144 then

next_state<=s2;

else

next_state<=s3;

end if;

end if;when s3=>

if hold='0' then

next_state<=s4;

else

if counter<149 then

next_state<=s3;

else

next_state<=s0;

end if;

end if;when s4=>

if hold='0' then

next_state<=s4;

else

if counter<69 then

next_state<=s0;

elsif counter<74 then

next_state<=s1;

elsif counter<144 then

next_state<=s2;

elsif counter<149 then

next_state<=s3;

end if;

end if;

end case;end process;

output:process(current_state)begin case current_state is when s0=>

ared<='0';

agreen<='1';

ayellow<='0';

bred<='1';

bgreen<='0';

byellow<='0';when s1=>

ared<='0';

agreen<='0';

ayellow<='1';

bred<='1';

bgreen<='0';

byellow<='0';

--每種狀態(tài)下兩個(gè)路口紅綠燈的狀態(tài)描述

when s2=>

ared<='1';

agreen<='0';

ayellow<='0';

bred<='0';

bgreen<='1';

byellow<='0';when s3=>

ared<='1';

agreen<='0';

ayellow<='0';

bred<='0';

bgreen<='0';

byellow<='1';when s4=>

ared<='1';

agreen<='0';

ayellow<='0';

bred<='1';

bgreen<='0';

byellow<='0';when others => null;end case;end process;end behavior;

control的時(shí)序圖

由圖可以看出緊急制動(dòng)有效,即’hold’=0時(shí),兩個(gè)方向都是紅燈。而緊急制動(dòng)無效時(shí),為s1狀態(tài),一方為綠燈,另一方紅燈。(3)倒計(jì)時(shí)計(jì)數(shù)器upm75(x)的設(shè)計(jì) 倒計(jì)時(shí)計(jì)數(shù)器upm75(x)的邏輯符號(hào)如下圖所示。其中,clk,cr分別為時(shí)鐘和清零端,en0~en2分別表示倒計(jì)數(shù)75s,70s,5s使能端,ql[3..0],qh[3..0],oc分別為bcd碼的個(gè)位,十位跟進(jìn)位輸出。

vhdl描述upm75(x).vhd如下: library ieee;use ;use ;entity upm75 is

--實(shí)體聲明 port(clk:in std_logic;

en0,en1,en2:in std_logic;

cr,hld:in std_logic;

ql,qh:out std_logic_vector(3 downto 0);

oc:out std_logic);end upm75;

architecture behavior of upm75 is

--結(jié)構(gòu)體

signal coul,couh:std_logic_vector(3 downto 0);begin

process(cr,clk,hld,en0,en1,en2)

begin

if cr='0' then

--異步清零

coul<=“0000”;

couh<=“0000”;

elsif clk'event and clk='1' then

if hld='1' then

--緊急制動(dòng)無效時(shí),計(jì)數(shù)器正常計(jì)數(shù)

if en0='1' then

--en0有效,倒計(jì)時(shí)75s

if(coul=0 and couh=0)then

--減法記到00后,重新指數(shù)75

coul<=“0101”;

couh<=“0111”;

elsif coul=0 then

--否則,個(gè)位記到0時(shí)置為9,十位減1

coul<=“1001”;

couh<=couh-1;

else

coul<=coul-1;

--否則,個(gè)位減1

end if;

end if;

if en1='1' then

--en1有效,倒計(jì)時(shí)70s

if(coul=0 and couh=0)then

coul<=“0000”;

couh<=“0111”;

elsif coul=0 then

coul<=“1001”;

couh<=couh-1;

else

coul<=coul-1;

end if;

end if;

if en2='1' then

--en2有效,倒計(jì)時(shí)5s

if(coul=0 and couh=0)then

coul<=“0101”;

couh<=“0000”;

elsif coul=0 then

coul<=“1001”;

couh<=couh-1;

else

coul<=coul-1;

end if;

end if;

else

coul<=coul;

--緊急制動(dòng)有效時(shí),各位保持不變

couh<=couh;

end if;

end if;

end process;

process(coul,couh)

begin

if(coul=0 and couh=0)then

--減到00時(shí),借位輸出

oc<='1';

else

oc<='0';

end if;

end process;

ql<=coul;

qh<=couh;end behavior;倒計(jì)時(shí)的時(shí)序仿真圖如下: 1.75s倒計(jì)時(shí)

由圖可以看出緊急制動(dòng)有效時(shí),停止計(jì)時(shí),一直持續(xù)在75s,滿足要求。2.70s倒計(jì)時(shí)

3.5s倒計(jì)時(shí)

(4)七段數(shù)碼管的設(shè)計(jì)

分頻器外部接口如右圖所示: library ieee;use ;entity decl7s is

port(a : in std_logic_vector(3 downto 0);

hold:in std_logic;

clk:in std_logic;

led7s : out std_logic_vector(6 downto 0));end;architecture one of decl7s is begin process(a)begin if(hold = '0' and clk = '1')then led7s <= “0000000”;elsif(hold = '1')then case a is when “0000” => led7s <= “0111111”;when “0001” => led7s <= “0000110”;when “0010” => led7s <= “1011011”;when “0011” => led7s <= “1001111”;when “0100” => led7s <= “1100110”;when “0101” => led7s <= “1101101”;

when “0110” => led7s <= “1111101”;when “0111” => led7s <= “0000111”;when “1000” => led7s <= “1111111”;when “1001” => led7s <= “1101111”;when “1010” => led7s <= “1110111”;when “1011” => led7s <= “1111100”;when “1100” => led7s <= “0111001”;when “1101” => led7s <= “1011110”;when “1110” => led7s <= “1111001”;when “1111” => led7s <= “1110001”;when others => null;end case;end if;end process;end;

三. 遇到的問題及解決方法

1.七段數(shù)碼管顯示的數(shù)字并不是1,2,3…,將led7s的輸出取反后,得到了正確的結(jié)果; 2.倒計(jì)時(shí)開始設(shè)計(jì)的是從74s,69s,4s開始計(jì)時(shí)的,后改為75s,70s,5s;

3.緊急制動(dòng)有效時(shí),計(jì)時(shí)停止,但不閃爍,之后在decl7s程序里加入了時(shí)鐘,能夠達(dá)到閃爍的效果。

四. 綜合時(shí)序仿真結(jié)果及功能分析

總體電路圖如下:

總體時(shí)序仿真:

由于50mhz太大,看不出多種狀態(tài)間的變化,但通過仿真圖可以看出一方是綠燈,而另一方是紅燈。

五. 小結(jié)及心得體會(huì)

在本次實(shí)驗(yàn)中我選擇的是交通燈,輸出有很多種情況。該系統(tǒng)主要由分頻器,計(jì)數(shù)器,控制器,倒計(jì)時(shí)顯示器等電路組成。其中,分頻器與七段數(shù)碼管是小作業(yè)的時(shí)候做好的,控制器與倒計(jì)時(shí)計(jì)數(shù)器參考數(shù)電書完成。在實(shí)驗(yàn)過程中,出現(xiàn)了很多的問題,多虧了同學(xué)和老師的幫助,有很多問題都是由于粗心導(dǎo)致的,這又一次說明了編程是需要細(xì)心和耐心的,一個(gè)小小的錯(cuò)誤就會(huì)影響到整個(gè)運(yùn)行結(jié)果。所以我覺得,結(jié)果固然重要,但是自己真正投入努力的過程更是值得被體會(huì)。

【本文地址:http://mlvmservice.com/zuowen/2997128.html】

全文閱讀已結(jié)束,如果需要下載本文請(qǐng)點(diǎn)擊

下載此文檔